comment faire un tableau en VHDL ?

comment faire un tableau en VHDL ? - Divers - Programmation

Marsh Posté le 19-03-2008 à 12:19:22    

slt, je souhaite faire un tableau en VHDL. Pour cela, j'utilise :  
             type "Table_sortie" is array (1 to 5) of integer;
 variable "table_sortie" ou subtype .......
 
Il faut que je mette des valeurs d'en ce tableau et que je le récupére sur un fichier de sortie. J'arrive à lire et à écrire sur un autre fichier mais pas à écrire dans un tableau. Merci d'avance de m'aider.

Reply

Marsh Posté le 19-03-2008 à 12:19:22   

Reply

Sujets relatifs:

Leave a Replay

Make sure you enter the(*)required information where indicate.HTML code is not allowed