[FPGA]Pipeline VHDL

Pipeline VHDL [FPGA] - Electronique, domotique, DIY

Marsh Posté le 27-11-2015 à 14:50:55    

Bonjour à tous,
 
Dans le cadre de mes études, je dois implémenter un pipeline en VHDL cependant j'ai du mal à comprendre cette notion.
Pourriez-vous me l'expliquer et me dire les avantages/désavantages de cette méthode, dans quel cas l'utiliser, dans quel cas ne pas l'utiliser, quel est le cout d'un pipeline, ..., s'il vous plaît ?  Si possible, pourriez-vous m'expliquer avec des exemples.
 
En vous remerciant par avance.
A+


---------------
Mon topic d'achat de DRAGON QUEST http://forum.hardware.fr/hfr/JeuxV [...] 1589_1.htm
Reply

Marsh Posté le 27-11-2015 à 14:50:55   

Reply

Marsh Posté le 27-11-2015 à 15:21:57    

Un pipeline c'est une technique pour traiter un flux de donnée à haute vitesse.
Un exemple simple si tu veux calculer (x+1)²
La séquence de calcul est la suivante
X -> Ajoute 1 -> élévation au carré -> Résultat
 
Dans une puce fonctionnant à basse fréquence, ce calcul peut être réalisé en combinatoire pur. Mais si on veut aller vite, on va rajouter des registres entre chaque étape:
X -Reg-> Ajoute 1 -Reg-> élévation au carré -Reg-> Résultat
 
L'avantage étant que la logique combinatoire entre chaque registre est bien plus réduite et donc s'exécute plus rapidement.
En plus dans le cas d'un flux de données, le pipeline une fois rempli traite une donnée par cycle d'horloge.
 
Voilà en gros... après tout n'est pas aussi simple et il y a plein de cas beauuucoup plus compliqué que ce que je viens d'exposer.


---------------
sheep++
Reply

Marsh Posté le 28-11-2015 à 18:24:24    

Merci h3bus, je vais tester (x+1)² en VHDL en utilisant le pipeline.
 
Je te tiens au courant :)
Merci encore.
Bonne soirée.


---------------
Mon topic d'achat de DRAGON QUEST http://forum.hardware.fr/hfr/JeuxV [...] 1589_1.htm
Reply

Sujets relatifs:

Leave a Replay

Make sure you enter the(*)required information where indicate.HTML code is not allowed