[VHDL] Compteur

Compteur [VHDL] - Divers - Programmation

Marsh Posté le 08-12-2007 à 05:41:49    

Bonne nuit !
 
Ayant commencé le VHDL, il y a deux petites semaines et ayant besoin d' aide, je me tourne vers vous.
 

Code :
  1. library IEEE;
  2. use IEEE.std_logic_1164.all;
  3. use IEEE.std_logic_unsigned.all;
  4. use IEEE.std_logic_arith.all;
  5. use IEEE.numeric_std.all;
  6. entity div_16 is
  7. port(
  8.  Horloge : in std_logic;
  9.  S16 : out std_logic
  10.  );
  11. end div_16;
  12. architecture arch_div16 of div_16 is
  13. signal x : std_logic_vector( 4 downto 0);
  14. begin
  15. process(Horloge)
  16. begin
  17. if rising_edge(Horloge) then X <= X+1;
  18.  if (X>=8 and X<=15) then S16 = '1';
  19.        else S16 <= '0';
  20.  end if;
  21. end if;
  22. end process;
  23. end arch_div16;


 
Au moment de la compilation, le log me dit :
 "l20 |...|Expecting <="
Après avoir tenter qques magouilles avec ce nouveau langage et y avoir passé trop de temps je voulais savoir quelle faute de noob j' ai faite.  
Merci

Reply

Marsh Posté le 08-12-2007 à 05:41:49   

Reply

Marsh Posté le 04-02-2008 à 10:25:57    

salut
la réponse est dans l'erreur. Il te dit "expecting <= à la ligne 20" et en effet à la ligne 20, tu as une erreur.
Un signal s'affecte, or le symbole pour affecter est "<=", tu dois donc écrire :
S16 <= '1'
 
Bonne journée !

Reply

Sujets relatifs:

Leave a Replay

Make sure you enter the(*)required information where indicate.HTML code is not allowed